Jumat, 21 Oktober 2011

Xilinx dan cara penginstalannya...

Ini sedikit tutorial tentang cara menginstal Xilinx. Semoga bermanfaat...

1. Double click Setup di dalam folder Xilnix software untuk memulai penginstalan


2. Klik next untuk melanjutkan penginstalan

3. Lalu akan muncul kotak dialog untuk pengisian registration ID, klo sudah diisi pilih next


4. Untuk melanjutkan proses instalasi, pengguna harus menyetujui peraturan dengan cara menambahkan tanda checklist di samping kata "I accept the terms of this software license" pada kotak dialog Accept Sofware License hingga 3 kali. Klik next


5. Taruh dimana software akan diinstal dan program apa yang akan ditampilkan dalam desktop


6. Kemudian pilih tools/alat apa saja yang akan diinstal


7. Untuk mengunjungi web dan software terbaru dari Xilinx, beri tanda checklist pada "launch webupdate". Jika tidak, abaikan dan klik next


8. Penginstalan pun dimulai


9. Tunggu hingga proses penginstalan selesai


10. Instal pun selesai!

Kamis, 13 Oktober 2011

Pendidikan... Oh, Pendidikan...

Masih belum lekang dari pikiran tentang berita robohnya sebuah bangunan SD hingga memakan 1 korban jiwa dan belasan siswa luka-luka. Kejadian itu terjadi sangat cepat katika siswa/i sedang melakukan proses belajar di bangunan yang tidak layak tersebut. Entah bagaimana hancurnya hati orang tua yang memimpikan buah hatinya dapat mencapai pendidikan yang tinggi, namun kini mereka hanya bisa meratapi impiannya itu. Sang buah hati yang diharapkan meninggal akibat kelalaian pemerintah yang tidak cepat tanggap memperbaiki struktur sekolah dan pendidikan negara ini.

Sebenarnya sudah terjadi begitu banyak kejadian sekolah roboh seperti ini, belum ditambah yang bangunannya mulai rusak dan siap menambah daftar panjang ambruknya sekolah di negeri ini. Bagaimana pemerintah bisa menutup mata dan telinga ketika hal ini sudah diteriaki rakyat melalui berbagai media massa? Sedangkan pemerintah baru saja menaikan jatah APBN untuk anggaran pendidikan dari Rp 266,9 triliun di tahun 2011 menjadi Rp 286,6 triliun untuk tahun 2012. Seakan ditelan bumi, anggaran tersebut tidak terlihat berhasil di berbagai aspek pendidikan. Bahkan untuk sekolah gratis yang sejak dari dulu dicanangkan.


Ya, pemerintah memang telah mencanangkan sekolah gratis bagi kalangan tidak mampu. Namun, seakan hanya omong kosong belaka, ketika bayaran bulanan digratiskan, maka uang gedung dituntut lunas. Ketika uang gedung digratiskan, buku dan seragam harus bayar. Kerika buku dan seragam dibebaskan, uang pangkal harus dilunaskan. Ketika bagian anu tidak perlu bayar, bagian itu harus dibayar. Sampai seterusnya hingga yang tadinya sekolah gratis berubah menjadi sekolah (tidak benar-benar) gratis.

Menjadi orang susah di negeri ini sangat teramat sulit. Padahal bukan karena lapangan pekerjaan yang menyempit yang membuat pengangguran bertambah, tapi karena makin berkurangnya sumber daya manusia yang berkualitas di negeri ini. Kalau bukan dari pendidikan, lalu darimana lagi negeri ini membangun sumber daya yang baik? Kalau bangunan sekolah saja tidak melindungi jiwa di dalamnya, lalu dimana penerus bangsa ini harus belajar untuk menaikan standar dirinya? Kalau segala hal harus berbayar dan menjadi beban, kapan para orang tua bisa memberikan buah hatinya pedidikan yang layak? Pemerintah sadar betul akan hal ini, tapi terlalu sedikit yang membuka mata dan turun tangan untuk ikut membangun. Kebanyakan mereka hanya mencari-cari proyek agar uang selalu mengalir ke pundi-pundi mereka supaya bisa terus menikmati indahnya dunia.


Rabu, 12 Oktober 2011

FPGA

Assalamu'alaikum... sebelum memulai pembahasan tentang materi kali ini, saya ingin meminta maaf lebih dulu bila ada salah-salah kata yang pernah terjadi. Sekiranya blog saya ini dapat bermanfaat untuk yang membaca. Terima kasih... ^^

FPGA atau field progammable gate array, merupakan sebuah IC digital yang sering digunakan untuk mengimplementasikan rangkaian digital.




FPGA memiliki sifat antara lain, program dapat disusun ulang berkali-kali, FPGA dapat di-download bekali-kali oleh program tanpa batas, bersifat volatile, hampir semua rangkaian terimplementasi di dalam chip, serta lebih murah dan lebih cepat dalam hal desain.

Komponen FPGA:
  1. CLB (Configure Logic Block). Bagian ini berfungsi untuk memproses rangkaian logika yang dibuat user.
  2. I/O Blocks. Sebagai interface antara eksternal pin dari device dan internal user logic
  3. Programmable interconection. Bagian ini berisi wire segments dan programmable switches, selain itu bagian ini juga akan menghubungkan antara CLB satu dengan CLB yang lainnya.

Sebuah FPGA tersusun dari sebuah bagian yang bernama Logic Cell (logic block), yang kemudian pada perkembangannya saling terhubung satu sama lain. Kumpulan-kumpulan dari logic cell ini berjumlah ratusan bahkan ribuan dan membentuk suatu fungsi yang kompleks. Sebuah logic cell pada dasarnya terdiri atas sebuah look up table (LUT), D flip-flop dan sebuah multiplekser 2 to 1

  • Look Up Table (LUT) merupakan sejenis RAM yang berkapasitas kecil. Di dalam FPGA, LUT memegang peranan yang sangat pentingdalam proses implementasi fungsi-fungsi logika. Selain itu, LUT ini berciri khas memiliki input 4 buah
  • D flip-flop. Rangkaian D flip-flop ini berfungsi sebagai rangkaian logika sekuensial dimana didalamnya terdapat peralatan memori dan pewaktu
  • Multiplekser 2 to 1. Multipekser adalah piranti digital yang bekerja sebagai switch (saklar) yang menhubungakn data dari n masukan kesebuah keluaran. Multiplekser berfungsi untuk memilih beberapa input umtuk hanya menjadi 1 output saja. Di dalam FPGA, terdapat rangkaian multiplekser 2 to 1 yang artinya multiplekser tersebut memiliki 2 buah inputan dan 1 buah output.
Secara garis besar, perancangan perangkat lunak terdiri atas 4 tahap yaitu:
  1. Design entry
  2. Simulation
  3. Synthesis and place and route
  4. Programming though special cables

Bentuk utama dari sebuah FPGA adalah Integrated Circuit (IC). Dua buah perusahaan yang cukup terkenal sebagai pembuat FPGA adalah Xilinx dan Altera. Pada umumnya, perusahaan tersebut tidak menjual FPGA dalam bentuk terpisah, yakni keping IC saja melainkan sudah terintegrasi dengan komponen-komponen elektronik lain seperti kristal, LED, resistor, kapasitor, push button, dsb. Semuanya itu biasanya menjadi satu dan disebut papan pengembang FPGA (FPGA development board). Bentuk inilah yang biasanya dijual oleh para vendor pembuat FPGA.

Perusahaan-perusahaan pembuat FPGA tidak hanya membuat papan pengembang saja, tetapi perusahaan tersebut juga menjual sekaligus perangkat lunak yang akan mendukung penggunaanya. Jadi ketika kita membelli FPGA maka yang dimaksud adalah membeli development board FPGA beserta perangkat lunak pendukungnya. Kedua bagian tersebut merupakan satu kesatuan utuh yang saling mendukung proses kerja satu sama lain.

***

Sabtu, 01 Oktober 2011

Fakta Keragaman Bahasa Indonesia

Bahasa Indonesia adalah bahasa resmi Republik Indonesia (RI) sebagaimana disebutkan dalam Undang-Undang Dasar 1945, Pasal 36. Ia juga merupakan bahasa persatuan bangsa Indonesia sebagaimana disiratkan dalam Sumpah Pemuda 28 Oktober 1928. Meski demikian, ia hanya sebagian kecil dari penduduk Indonesia yang benar-benar menggunakannya sebagai bahasa ibunda karena dalam percakapan sehari-hari yang tidak resmi, masyarakat Indonesia lebih suka menggunakan bahasa daerahnya masing-masing sebagai bahasa ibunda seperti bahasa Melayu pasar, bahasa Jawa, bahasa Sunda, bahasa Minangkabau, bahasa Batak, bahasa Aceh, bahasa Bugis dan lain sebagainya.

Untuk sebagian besar lainnya, bahasa Indonesia adalah bahasa kedua dan untuk taraf resmi bahasa Indonesia adalah bahasa pertama. Bahasa Indonesia ialah sebuah dialek bahasa Melayu yang menjadi bahasa resmi Indonesia sejak pengisytiharan kemerdekaan Indonesia tahun 1945. Bahasa Indonesia merupakan bahasa dinamis yang hingga sekarang terus menghasilkan kata-kata baru, baik melalui penciptaan, maupun penyerapan dari bahasa daerah dan asing.

Bahasa Indonesia adalah dialek baku dari bahasa Melayu yang pokoknya dari bahasa Melayu Riau sebagaimana diungkapkan oleh Ki Hajar Dewantara (Bapak Pendidikan Indonesia) dalam Kongres Bahasa Indonesia I tahun 1939 di Solo, Jawa Tengah, “jang dinamakan ‘Bahasa Indonesia’ jaitoe bahasa Melajoe jang soenggoehpoen pokoknja berasal dari ‘Melajoe Riaoe’, akan tetapi jang soedah ditambah, dioebah ataoe dikoerangi menoeroet keperloean zaman dan alam baharoe, hingga bahasa itoe laloe moedah dipakai oleh rakjat di seloeroeh Indonesia; pembaharoean bahasa Melajoe hingga menjadi bahasa Indonesia itoe haroes dilakoekan oleh kaoem ahli jang beralam baharoe, ialah alam kebangsaan Indonesia“, atau sebagaimana diungkapkan dalam Kongres Bahasa Indonesia II 1954 di Medan, Sumatera Utara, “…bahwa asal bahasa Indonesia ialah bahasa Melaju. Dasar bahasa Indonesia ialah bahasa Melaju jang disesuaikan dengan pertumbuhannja dalam masjarakat Indonesia“.

Secara historis, bahasa Indonesia merupakan salah satu dialek temporal dari bahasa Melayu yang struktur maupun khazanahnya sebagian besar masih sama atau mirip dengan dialek-dialek temporal terdahulu seperti bahasa Melayu Klasik dan bahasa Melayu Kuno. Secara sosiologis, bolehlah kita katakan bahwa bahasa Indonesia baru dianggap “lahir” atau diterima keberadaannya pada tanggal 28 Oktober 1928. Secara yuridis, baru tanggal 18 Agustus 1945 bahasa Indonesia secara resmi diakui keberadaannya. Bahasa Indonesia merupakan bahasa yang digunakan sebagai penghantar pendidikan di perguruan-perguruan di Indonesia.

Indonesia dengan luas kawasan 1.904.556 km² dan menurut banci Badan Koordinasi Keluarga Berencana Nasional (BKKBN) Pusat yang dikeluarkan tanggal 20 Julai 2007 menyatakan bahwa jumlah penduduk Indonesia adalah sekitar 222 juta jiwa yang berasal dari berbagai etnis. Dengan keragaman etnis dan suku, di Indonesia terdapat sekitar 706 bahasa daerah yang digunakan sebagai bahasa ibunda khususnya dalam berkomunikasi tidak resmi dengan ahli keluarga maupun masyarakat. Namun seiring dengan perkembangan zaman, ibubapa tidak lagi peduli akan fungsi bahasa daerah sebagai bahasa ibunda yaitu bahasa yang pertama diajarkan kepada anak-anaknya dan cenderung menggantikannya dengan bahasa Indonesia. Akhirnya, bahasa Indonesia yang semula hanya digunakan untuk bertutur dengan orang yang berbeda etnik, kini mulai menjadi bahasa ibunda. Padahal, akan jauh lebih baik bagi anak jika ia bisa menguasai lebih dari satu bahasa, yakni bahasa daerah dan bahasa Indonesia, sebelum memasuki usia sekolah.

Hal tersebut pernah dikemukakan oleh Kepala Pusat Kajian Bahasa dan Budaya Universitas Katolik (Unika) Atma Jaya, Prof Dr Bambang Kaswanti Purwo, dan Kepala Pusat Bahasa Departemen Pendidikan Nasional RI, Dr Dendy Sugono di Jakarta tanggal 11 Pebruari 2003. Acara itu diadakan berkaitan dengan peringatan “Hari Bahasa Ibunda Antarabangsa” tanggal 21 Pebruari 2003. Terungkap pula bahwa pengenalan bahasa daerah kepada anak, meskipun bukan sebagai bahasa ibunda sangat penting agar anak tidak tercerabut dari akar sosial budaya yang juga dimiliki orang tuanya. Tidak dipungkiri bahwa banyak dimensi budaya yang tidak bisa diserap atau dijelaskan dengan bahasa Indonesia sehingga apabila ingin memahaminya, tetap harus menguasai bahasa daerah.

Menurut Bambang Kaswanti Purwo, laju kepunahan bahasa di Indonesia sebagai negara kedua di dunia yang memiliki bahasa paling banyak yaitu 706 bahasa setelah Papua Nugini yaitu 867 bahasa cukup memprihatinkan. Dari jumlah tersebut, ada 109 bahasa (di luar Papua) yang punya penutur kurang dari 100.000 orang, seperti Tondano di Sulawesi, Tanimbar di Nusa Tenggara, Ogan di Sumatera Selatan, serta Buru di Maluku.

“Malahan ada satu bahasa di Nusa Tenggara Timur, yakni Maku’a, yang jumlah penuturnya tinggal 50 orang. Hampir separuh dari bahasa di Indonesia tersebar di wilayah Papua dan sangat terancam kepunahannya karena jumlah penutur terus berkurang.”

Sementara itu, berdasarkan data UNESCO, setiap tahun, ada 10 bahasa di dunia yang punah dan di era yang serba modern ini diperkirakan laju kepunahan bahasa akan lebih cepat lagi. Satu abad lalu, tercatat ada lebih dari 6.000 bahasa di dunia. Kini hanya tinggal 600 hingga 3.000 bahasa, hampir separuhnya memiliki penutur kurang dari 10.000 orang, dan seperempatnya lagi kurang dari 1.000 orang.

“Padahal, salah satu syarat bagi upaya pelestarian bahasa adalah jika penuturnya mencapai 100.000 orang.”

Sementara itu, Dendy Sugono berpendapat agar bahasa daerah tetap lestari namun anak-anak juga menguasai bahasa Indonesia agar berwawasan nasional, setiap keluarga hendaknya memperkenalkan bahasa daerah dan bahasa Indonesia secara bersamaan kepada anak-anaknya sejak usia dini.

“Bahasa daerah sebagai kekayaan budaya dan menjadi jati diri bangsa dan bahasa Indonesia sebagai alat pemersatu bangsa harus dilestarikan secara seimbang”.

Menurut Dendy, orang tua hendaknya menyadari hal itu agar anak tidak tercerabut dari akar sosial-budayanya, namun tetap berwawasan nasional. Mereka harus memperkenalkan bahasa daerah dan bahasa Indonesia kepada anak-anak sejak usia dini. Hal itu penting sebab di satu sisi anak perlu memahami budayanya dengan menguasai bahasa daerah, di sisi lain dia juga harus mampu menyerap pengetahuan yang dipelajarinya dengan bahasa Indonesia.

Berdasarkan penelitian, Dendy menjelaskan anak yang mengenal dua bahasa atau lebih (multilingualisma) akan memiliki kepribadian yang lebih baik dibanding dengan anak yang hanya mengenal satu bahasa saja (monolingualisma). Mereka akan lebih toleran dan santun, mudah menghargai perbedaan pendapat, serta berwawasan lebih luas. Selain itu, semakin banyak orang yang menyadari pentingnya menguasai multilingualisma akan berdampak positif pula pada upaya pelestarian bahasa ibunda.